Pulsic Announces New Release of IC Analog / Mixed Signal Physical Design Solution

Lyric Solves Signal Integrity & Timing Challenges for Complex Deep Sub-Micron Designs

Bristol, UK – 20th October 2003 – Pulsic Limited, the British EDA company that delivers breakthrough shape-based design solutions to the IC layout market, today announced the latest release of its Lyric Physical Design FrameworkT (version 4.0) delivering enhanced tools for analog and mixed-signal (AMS) design, and significant design completion and reliability benefits.

First released in June 2002, Pulsic’s Lyric Physical Design Framework is already the most comprehensive shape-based place and route solution available. It provides a flexible, high performance auto/interactive placement and routing solution, including full DRC and ECO capabilities, for analog, mixed-signal, custom and small digital designs. With the delivery of version 4.0, Pulsic has added considerable new, customer-driven functionality by extending the routing technology to handle analog specific requirements, such as symmetrical routing, routing to pin current density widths, metal strapping and angled slotting.

Pulsic has broadened its Lyric AMS capabilities to meet the challenges faced by designers today and the increasing necessity for automation of analog/mixed-signal design processes. Mark Williams, Founder and Chief Operating Officer at Pulsic said, “Today’s complex design requirements mean that most leading analog designs are placed and routed largely by hand. When working on leading process technology designs, this task can take weeks or months to finish. Lyric AMS is the first solution to provide a fully automatic set of tools that delivers optimum, hand crafted results – DRC and process rule correct in minutes. Lyric also effectively eliminates the need for LVS (layout v. schematic), because, as with every part of the Lyric Framework, the full connectivity driven system can never be violated”

Compared to other products on the market, Lyric AMS achieves greater automation in analog and mixed-signal designs, and so delivers a significant reduction in design completion time, with increased design reliability and design team throughput.

Lyric AMS – New Functionality for Automated Analog/Mixed Signal Design

*NEW* Analog Symmetrical Routing: Lyric’s fully shape-based routing engine now has the ability to automatically route nets/subnets in a symmetrical pattern; a prerequisite for leading AMS designs. The automatic router guarantees that all nets match – including mirroring and flipping if required. The user can also interactively route a specific topology on one net, and instruct the automatic router to symmetrically route any number of other nets to that topology.

*NEW* Analog Pin Current Constraints: now the Lyric IC Router can address pin current density issues in analog design, to help achieve an optimum routing result for the entire design, and save valuable design time. The router can automatically route a net at varying widths to guarantee sufficient track widths at every point in the topology of the net to carry all pin current requirements. Lyric’s existing broad range of DRC/process checking tools have been extended to locate any insufficient width areas that may exist in any imported pre-routing, etc.

*NEW* Analog Metal Strapping: provides automatic via strapping between any signal metals on various layers. Polygon editing tools allow the creation of metal areas on a defined signal on any layer (or a layer range), and the strapping tool then automatically straps that metal to any other metal on the same signal on another layer by populating the cross area with that signal’s relevant via representations, or its via generation rules, should they apply.

*NEW* Mixed-Signal Full Automatic Placement: Lyric already has the ability to interactively and semi-automatically place transistors and cells, or groups thereof, which has been extended to allow fully automatic placement for mixed-signal design. As with every part of the Lyric Framework, connectivity can never be violated – removing any need for performing LVS (layout v. schematic) on completion of the physical design process.

*NEW* Net/Layer Matching: the Lyric IC Router internal RC extraction driven constraints manager has been enhanced to guarantee matching on a per-layer basis as well as the whole net or subnet. There is an increasing requirement in today’s designs to match length, time, resistance and capacitance across nets on a per-layer basis. This release ensures total net constraints are met as before but also guarantees designated nets can match on a per-layer basis.

Pricing & Availability

Lyric Physical Design Framework version 4.0 is available from 1st November 2003 and runs on Solaris 2.5.1-8.0 (32 and 64 bit), HPUX 11.0, NT4/2000/XP and Linux 2.2.X-2.4.X. Pricing starts at approximately $100,000 (U.S.) per year for a basic automatic and interactive 3 year license.